李昕

上海科技大學訪問教授

李昕於2005年獲美國卡內基–梅隆大學電氣和計算機工程系理學博士。他目前是卡內基–梅隆大學電氣和計算機工程系副教授和集成電路設計中心助理主任。他的主要研究方向在於集成電路和信號處理。李昕教授於2012年獲得美國國家自然科學基金CAREER獎,2013年獲得IEEE Donald O. Pederson最佳論文獎,2004年和2011年兩次獲得ICCAD最佳論文獎,2010年獲得DAC最佳論文獎。

個人簡介


2005年獲美國卡內基–梅隆大學電氣和計算機工程系理學博士。他目前是卡內基–梅隆大學電氣和計算機工程系副教授和集成電路設計中心助理主任。他的主要研究方向在於集成電路和信號處理。李昕教授於2012年獲得美國國家自然科學基金CAREER獎,2013年獲得IEEE Donald O. Pederson最佳論文獎,2004年和2011年兩次獲得ICCAD最佳論文獎,2010年獲得DAC最佳論文獎。

研究內容


集成電路、信號處理

代表性論文


Xin Li, Fa Wang, Shupeng Sun and Chenjie Gu, “Bayesian model fusion: a statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits,” IEEE/ACM International Conference on Computer-Aided Design, pp. 795-802, 2013.
Matthias Althoff, Akshay Rajhans, Bruce Krogh, Soner Yaldiz, Xin Li and Lawrence Pileggi, “Formal verification of phase-locked loops using reachability analysis and continuization,” IEEE/ACM International Conference on Computer-Aided Design, pp. 659-666, 2011. (Best Paper Award)
Wangyang Zhang, Xin Li, Frank Liu, Emrah Acar, Rob Rutenbar and Ronald Blanton, “Virtual probe: a statistical framework for low-cost silicon characterization of nanoscale integrated circuits,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 12, pp. 1814-1827, Dec. 2011. (IEEE Donald O. Pederson Best Paper Award)
Benton Calhoun, Yu Cao, Xin Li, Ken Mai, Lawrence Pileggi, Rob Rutenbar and Kenneth Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS,” Proceedings of The IEEE, vol. 96, no. 2, pp. 343-365, Feb. 2008. Xin Li, Jiayong Le, Padmini Gopalakrishnan and Lawrence Pileggi, “Asymptotic
probability extraction for nonnormal performance distributions,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 1, pp. 16-37, Jan. 2007. (Top 10 Articles of IEEE Trans. CAD Downloaded in 2008)
1.
Xin Li, Fa Wang, Shupeng Sun and Chenjie Gu, “Bayesian model fusion: a statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits,” IEEE/ACM International Conference on Computer-Aided Design, pp. 795-802, 2013.
2.
Matthias Althoff, Akshay Rajhans, Bruce Krogh, Soner Yaldiz, Xin Li and Lawrence Pileggi, “Formal verification of phase-locked loops using reachability analysis and continuization,” IEEE/ACM International Conference on Computer-Aided Design, pp. 659-666, 2011. (Best Paper Award)
3.
Wangyang Zhang, Xin Li, Frank Liu, Emrah Acar, Rob Rutenbar and Ronald Blanton, “Virtual probe: a statistical framework for low-cost silicon characterization of nanoscale integrated circuits,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 12, pp. 1814-1827, Dec. 2011. (IEEE Donald O. Pederson Best Paper Award)
4.
Benton Calhoun, Yu Cao, Xin Li, Ken Mai, Lawrence Pileggi, Rob Rutenbar and Kenneth Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS,” Proceedings of The IEEE, vol. 96, no. 2, pp. 343-365, Feb. 2008. Xin Li, Jiayong Le, Padmini Gopalakrishnan and Lawrence Pileggi, “Asymptotic
5.
probability extraction for nonnormal performance distributions,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 1, pp. 16-37, Jan. 2007. (Top 10 Articles of IEEE Trans. CAD Downloaded in 2008)