集成晶元

集成晶元

集成晶元是現代數字集成晶元主要使用CMOS工藝製造的。CMOS器件的靜態功耗很低,但是在高速開關的情況下,CMOS器件需要電源提供瞬時功率,高速CMOS器件的動態功率要求超過同類雙極性器件。因此必須對這些器件加去耦電容以滿足瞬時功率要求。

現代集成晶元有多種封裝結構,對於分立元件,引腳越短,EMI問題越小。因為表貼器件有更小的安裝面積和更低的安裝位置,因此有更好的EMC性能,所以應首選表貼元件,甚至直接在PCB上安裝裸片。

1947年12月23日第一塊晶體管在貝爾實驗室誕生,從此人類步入了飛速發展的電子時代。但是對於從小就對電子技術感興趣的基爾比來說可不見得是件好的事情:晶體管的發明宣布了基爾比在大學里選修的電子管技術課程全部作廢。但是這並沒有消減這個年輕人對電子技術的熱情,反而更加堅定了他的道路。

發展簡史


也許這就是天意,在晶體管發明十年後的1958年,34歲的基爾比加入德州儀器公司。說起當初為何選擇德州儀器,基爾比輕描淡寫道:“因為它是惟一允許我差不多把全部時間用於研究電子器件微型化的公司,給我提供了大量的時間和不錯的實驗條件。”也正是德州儀器這一溫室,孕育了基爾比無與倫比的成就。
雖然那個時代的工程師們因為晶體管發明而備受鼓舞,開始嘗試設計高速計算機,但是問題還沒有完全解決:由晶體管組裝的電子設備還是太笨重了,工程師們設計的電路需要幾英里長的線路還有上百萬個的焊點組成,建造它的難度可想而知。至於個人擁有計算機,更是一個遙不可及的夢想。針對這一情況,基爾比提出了一個大膽的設想: “能不能將電阻、電容、晶體管等電子元器件都安置在一個半導體單片上?”這樣整個電路的體積將會大大縮小,於是這個新來的工程師開始嘗試一個叫做相位轉換振蕩器的簡易集成電路。
1958年9月12日,基爾比研製出世界上第一塊集成電路,成功地實現了把電子器件集成在一塊半導體材料上的構想,並通過了德州儀器公司高層管理人員的檢查。請記住這一天,集成電路取代了晶體管,為開發電子產品的各種功能鋪平了道路,並且大幅度降低了成本,使微處理器的出現成為了可能,開創了電子技術歷史的新紀元,讓我們習以為常一切電子產品的出現成為可能。
偉大的發明與人物總會被歷史驗證與牢記,2000年基爾比因為發明集成電路而獲得當年的諾貝爾物理學獎。這份殊榮,經過四十二年的檢驗顯得愈發珍貴,更是整個人類對基爾比偉大發明的充分認可。諾貝爾獎評審委員會的評價很簡單:“為現代信息技術奠定了基礎”。
“我認為,有幾個人的工作改變了整個世界,以及我們的生活方式——亨利·福特、托馬斯·愛迪生、萊特兄弟,還有傑克·基爾比。如果說有一項發明不僅革新了我們的工業,並且改變了我們生活的世界,那就是傑克發明的集成電路。”或許德州儀器公司董事會主席湯姆·恩吉布斯的評價是對基爾比貢獻最簡潔有力的註解,現基爾比的照片和愛迪生的照片一起懸掛在國家發明家榮譽廳內。
羅伯特·諾伊斯,是一位科學界和商業界的奇才。他在基爾比的基礎上發明了可商業生產的集成電路,使半導體產業由“發明時代”進入了“商用時代”。同時,還共同創辦了兩家矽谷最偉大的公司:一個是曾經有半導體行業“黃埔軍校”之稱的-仙童(Fairchild)公司,一個是當今世界上最大設計和生產半導體的科技巨擘英特爾公司。
生活在美國大蕭條時代的羅伯特·諾伊斯向來奉行“自己動手”,12歲的時候,他與二哥自造了一架懸掛式滑翔機。13歲的時候,他們用家裡洗衣機淘汰的舊汽油發動機造出了一輛汽車。甚至還同朋友一起造出了一台粗糙的無線電收發兩用機,互相發信息。當然諾伊斯這一生最大的發明,還屬可商業生產的集成電路。
1959年7月,諾伊斯研究出一種二氧化硅的擴散技術和PN結的隔離技術,並創造性地在氧化膜上製作出鋁條連線,使元件和導線合成一體,從而為半導體集成電路的平面製作工藝、為工業大批量生產奠定了堅實的基礎。與基爾比在鍺晶片上研製集成電路不同,諾伊斯把眼光直接盯住硅-地球上含量最豐富之一的元素,商業化價值更大,成本更低。自此大量的半導體器件被製造並商用,風險投資開始出現,半導體初創公司湧現,更多功能更強、結構更複雜的集成電路被發明,半導體產業由“發明時代”進入了“商用時代”。
當然在這個“商用時代”還誕生了諾伊斯最大的成就:1968年諾伊斯離開了曾經有半導體行業“黃埔軍校”之稱的-仙童(Fairchild)公司(孕育出包括英特爾、AMD、美國國家半導體等當今半導體行業著名公司)與戈登-摩爾、安迪-格羅夫同創建了英特爾(Intel)。1929年1月3日,戈登·摩爾出生在距離舊金山南部的一個小鎮,1954年獲物理化學博士學位,1956年同諾伊斯一起創辦了傳奇般的仙童(Fairchild)公司,主要負責技術研發。1968年在諾伊斯辭職后,戈登·摩爾跟隨而去一起創辦了Intel, 1975年成為公司總裁兼CEO。
1965年,有一天摩爾離開硅晶體車間坐下來,拿了一把尺子和一張紙,畫了個草圖。縱軸代表不斷發展的晶元,橫軸為時間,結果是很有規律的幾何增長。這一發現發表在當年第35期《電子》雜誌上。這篇不經意之作也是迄今為止半導體歷史上最具意義的論文。摩爾指出:微處理器晶元的電路密度,以及它潛在的計算能力,每隔一年翻番。這也就是後來聞名於IT界的“摩爾定律”的雛形。為了使這個描述更精確,1975年,摩爾做了一些修正,將翻番的時間從一年調整為兩年。實際上,後來更準確的時間是兩者的平均:18個月。"摩爾定律"不是一條簡明的自然科學定律,尊它為發展方針的英特爾公司,更是取得了巨大的商業成功,而微處理器也成了摩爾定律的最佳體現,也帶著摩爾本人的名望和財富每隔18個月翻一番。
當時,集成電路問世才6年。摩爾的實驗室也只能將50隻晶體管和電阻集成在一個晶元上。摩爾當時的預測聽起來好像是科幻小說;此後也不斷有技術專家認為晶元集成“已經到頂”。但事實證明,摩爾的預言是準確的,遵循著摩爾定律目前最先進的集成電路已含有超過17億個晶體管。
摩爾定律的偉大不僅僅是促成了英特爾巨大的商業成功,半導體行業的工程師們遵循著這一定律,不僅每18個月將晶體管的數量翻一翻,更是意味著同樣性能的晶元每18個月體積就可以縮小一半,成本減少一半。也可以說是因為摩爾定律讓我們生活中的電子產品性能越來越強大,體積越來越輕薄小巧,價格越來越低廉。
1990年已經退休的摩爾從美國前總統布希的手中接過了美國技術獎。今天,他的名字就像他提出的“摩爾定律”一樣,響徹在半導體行業每個人的心中。摩爾定律就像一股不可抗拒的自然力量,統治了矽谷乃至全球計算機業整整三十多年。

封裝方式


由於電視、音響、錄像集成電路的用途,使用環境,生產歷史等原因,使其不但在型號規格上繁雜,而且封裝形式也多樣。
常見的封裝材料有:塑料。陶瓷。玻璃。金屬等,塑料封裝為常用。
按封裝形式分:普通雙列直插式,普通單列直插式,小型雙列扁平,小型四列扁平,圓形金屬,體積較大的厚膜電路等。
按封裝體積大小排列分:最大為厚膜電路,其次分別為雙列直插式,單列直插式,金屬封裝。雙列扁平。四列扁平為最小
兩引腳之間的間距分:普通標準型塑料封裝,雙列。單列直插式一般多為2.54±0.25mm,其次有2mm(多見於單列直插式).1.778±0.25mm(多見於縮型雙列直插式).1.5±0.25mm,或1.27±0.25mm(多見於單列附散熱片或單列V型).1.27±0.25mm(多見於雙列扁平封裝).1±0.15mm(多見於雙列或四列扁平封裝).0.8±0.05~0.15mm(多見於四列扁平封裝).0.65±0.03mm(多見於四列扁平封裝)。
雙列直插式兩列引腳之間的寬度分:一般有7.4~7.62mm.10.16mm.12.7mm.15.24mm等數種。
雙列扁平封裝兩列之間的寬度分(包括引線長度:一般有6~6.5±mm.7.6mm.10.5~10.65mm等。
四列扁平封裝40引腳以上的長×寬一般有:10×10mm(不計引線長度).13.6×13.6±0.4mm(包括引線長度).20.6×20.6±0.4mm(包括引線長度).8.45×8.45±0.5mm(不計引線長度).14×14±0.15mm(不計引線長度)等。

分類


主板晶元

主板集成晶元是指電腦主板主板所整合了顯卡,音效卡或者網卡的晶元。
電腦市場上很多主板都集成很多其他部件:顯卡、音效卡、網卡等。大家在選購集成主板產品的時候主要應該考慮使用者自身的需求,同時還應該注意:這些集成控制晶元在性能上略遜於同類中高端的板卡產品,如果有特殊需求的話要選購相對應的板卡來提高性能。另外在主板插槽數量方面的選擇也是如此,主要考慮自身的需求。要使用大量擴展卡來實現一些附加功能的話就應該去選擇擴展插槽較多產品;希望配置大容量內存的話就挑選DIMM內存插槽較多的產品來實現功能。

光子晶元

光子集成技術是光纖通信最前沿、最有前途的領域,它是滿足未來網路帶寬需求的最好辦法。當大家還在固守著“全光通信”的思路的時候,網路已在悄然改變。節點設備需要光電變換,通過“O-E-O”才能 將信號進行整形和放大,從而傳給計算機。光子集成技術順應了時代發展,光子集成比傳統的分立“O-E-O”處理降低了成本和複雜性,帶來的好處是以更低的成本構建一個具有更多節點的全新的網路結構,更多的節點意味著更靈活的接入,更有效的維護和故障處理。然而光子集成晶元的製造並不是一件容易的事情。光子器件具有三維結構,比二維結構的半導體集成要複雜得多。將激光器、檢測器、調製器和其他器件都集成到晶元中,這些集成需要在不同材料(包括砷化銦鎵、磷化銦等材料)多個薄膜介質層上重複地沉積和蝕刻。磷化銦晶片在生產線上經過一種稱為光刻膠的漿狀化學物質進行包裹。紫外線光通過一個鏤空設計的模板照射到光刻膠上,產生了複雜的反應,其中一些半導體材料就粘在了晶片上,一些就被蝕刻掉了。2004年,大規模光子集成晶元——一對集成了50個光子器件的晶元呈現在人們的面前。此前,一些光晶元廠商只是做了一些少量器件的集成。光子集成技術成功地開發了400GB/s和1.6TB/s的晶元,實現了多達240個光器件的集成。光子集成領域的巨頭美國Infinera公司於2008年2月宣布了其下一步光子集成產品的發展路線,預計每三年光子集成的密度將會提高一倍。
光集成晶元領域的技術發展
正如電路早已集成化,發展成為集成電路晶元一樣,光路也將走向集成化,發展成為集成光路晶元(OIC)。光波導技術是集成光學的基礎。集成光路晶元也隨著新技術產品全面替代傳統產品一樣,逐步走向更廣泛的市場應用。那麼當年集成電路逐步發展成大規模集成電路,從而大範圍地替代傳統線路板。產品的高技術含量導致了生產方式也極大地改變,採用了高度自動化、可大規模生產的半導體加工方式去生產,即通常說的晶圓加工的生產方式。同樣我們的光集成晶元也採用了(不同工藝的)半導體的加工方式,製造出高性能的光集成晶元來替代傳統的光器件。和大規模集成電路晶元一樣,同樣實現了大批量、高效率生產方式,產品的成本更低,體積更小、性能更穩定、生產流程的低能耗、生產過程無污染、減少大量人工、技術含量高、性能指標高、產品附加值高等特點。鑒於光晶元設計和加工工藝複雜,前期科研投入耗資巨大,動輒上百億美元。目前為止僅有歐美、日本等少數發達國家實現了該晶元的批量生產,並在高端通訊領域得到了實際應用。包括我國主幹道網、環城網以及光纖到小區的網路上的光纖到戶網路所需要的光晶元均採購於他們。國產光電器件的生產規模及市場佔有率仍顯不足,只能局限在低端市場。光晶元作為高端核心技術產品方面,尚處在替發達國家的加工層面上,缺乏自己的研發設計。西方發達國家因光晶元的加工技術高速發展,佔據大部分光晶元高端產品的市場份額。國內目前為止只能生產傳統的熔融拉錐設備製造的光纖分路器和兩通道的波分復用器。這同我國光電子學研究和通信技術市場巨大需求是很不相符的。
光晶元是用半導體加工(即晶圓加工),但是這個行業不同於一般的行業,過去由於國內的晶元設計技術,晶圓(硅)材料和加工技術均比較落後,甚至國內到目前為止,尚未有一條生產我們光晶元的、能達到規模量產級6寸(或以上)的晶圓加工生產線。因此基於平面光波導技術,以晶圓加工為製造工藝的光集成晶元的研發、設計及製造一直是國內的空白。由於國內沒有自己的生產線做實驗,所以掌握該領域高端核心技術的人才處於極度匱乏。國內晶圓代加工(集成電路晶元“電”晶元)產業已得到迅速發展。僅僅上海浦東的張江高科技園區內就已經集中了數家知名的晶元代加工企業,其中“中芯國際”投入了12億美元建成我國第一條世界目前最大的12寸晶圓加工生產線。但以上企業都是加工傳統的“電”晶元的,而電晶元從性能、速度上都已經達到技術瓶頸。比如:個人電腦晶元不再每2年速度翻一番,體積做更小也難了,事實上著名的“摩爾定律”已經被推翻。將來晶元加工行業中,用於加工光晶元的代工生產線是更為新興的行業,已經是專業人士的共識,將為我國的平面波導型集成光晶元的研製和生產創造了有利條件。如能及早建立起第一條生產線,就給該核心技術領域從事自主研發、設計的企業和自主知識產權的“中國光芯”帶來了重大契機。
光集成晶元的市場應用
1、光纖到戶接入網方面的應用。眾所周知,中國是全球最大,發展最快的電信市場之一,已建立了具有世界先進水平的光傳輸網路,包括10Gbps光同步數字系統(SDH)、密集波分復用系統(DWDM)、及有線電視網路(CATV)。 “三網合一”的光纖到戶 (FTTH) 網路系統也開始試點推廣。光纖到戶網具有無源網路、高帶寬、承載業務種類多以及支持協議靈活四大技術優勢,將全面淘汰ADSL。光纖到戶融合IP、光通信、數字、接入網等先進技術,其高帶寬的接入方式可為互動式網路電視(IPTV)、視頻點播、數字電視等新型業務的普及提供高質保證。迄今,網際網路信息的傳輸是依靠光纖在城市之間和城市內部沿骨幹網傳輸,從骨幹網到小區和家庭的“最後一公里”和“最後一百米”,則用銅線而非光纖傳輸。銅線帶寬僅有1兆到2兆左右,而光纖的帶寬可達100兆之上。一旦實現光纖到戶,三網合一,人類的工作與生活將有難以想象的巨變。上網速度是迄今的上百倍,上網、看電影、上課、開會、下載都可以實現高清晰、高速度的即時傳輸。電話通信可實現視頻通話、音畫高清晰、零干擾等。有線電視網也可實現高清晰畫面以及視頻點播等交互功能。迄今,我國光纖到戶的應用試點工作在各地省、市政府的大力支持下已經展開,如武漢已建的光纖到戶項目有紫菘小區、長飛小區、南湖都市桃源、德潤大廈、王府花園等十餘個,用戶超過10000餘戶。上海的電信浦東電信局第九城市小區和中芯國際別墅小區,北京的碧盛花園和崑崙公寓,成都的泰龍小區,另外浙江、廣東、江蘇等地也已開始光纖到戶的規劃和試點工作。
全球光纖到戶技術和市場日趨成熟,業務增長迅速,在發達國家尤受重視。相關統計數據顯示,截至2007年底,美國已有超過500萬戶,日本超過300萬戶。而中國光纖接入用戶在一些地區已經開始試點。有人說,中國離光纖到戶時代尚有相當距離。但當今的信息產業,常會有超人預料的發展:誰會想到手機和網際網路能有現在這樣的普及面呢?作為2008北京奧運會固定通信的合作夥伴,中國網通已經對光纖到戶進行了試驗,其將在北京布設幾個高品質的奧運光纖網路,採用直接光纖到桌面的技術,實現“用戶桌面”的帶寬達到2.5GB。目前我國寬頻用戶數已達1.22億戶,居全球首位。最終將被光纖到戶技術全面淘汰。市場調查機構Heavy Reading日前發表的題為“FTTH(光纖到戶)在全世界的技術和市場發展”的報告預計,到2012年全球5%的家庭將實現FTTH,GPON(全光網路)技術將在未來幾年內有望成為FTTH的主導技術,FTTH用戶總數有望從2000萬增至9000萬。另一家叫Ovum-RHK曾預測,2009年底,亞太地區寬頻用戶的數量將從2005年的7500萬上升到1.29億,其中將有2300萬的用戶選擇FTTH技術實現寬頻接入。亞太將成全球FTTH發展最快的地區,寬頻業務市場主要集中在中國、日本、新加坡、韓國。所以,這是一個巨大的市場,我們國家也將形成1000億元左右的光纖光纜及光接入設備市場規模,不含海外市場。每年通信運營業務收入將超過180億元,對電信企業和光纖設備商而言,無疑極具開拓潛力。
2、超長距離城際網主幹道方面的應用。長距離幹線傳輸的全光通信廣域網逐步向著超長距、高速率、大容量、模塊化、靈活、方便、可靠的方向發展。綜合波分復用(WDM)和遙泵(ROPA)技術,能夠實現10G信號5000公里無電中繼傳送。我公司針對新一代的全光通信網開發的40通道陣列波導型(AWG)密集波分復用器(DWDM)和20通道可重構光分插復用器(ROADM)便是波分復用系統的核心元件,能夠滿足新一代長距離幹線傳輸發展的要求。300-500公里超長單跨距傳輸提高了系統的長距傳輸能力,可以最大限度地節省中繼站點,降低網路成本,提高網路的可靠性。密集波分復用器是模塊化設計的基礎,這樣既能實現 400G>800G>1200G>1600G 系統逐步擴容,也能按波長進行平滑升級。有利於採用分期投資,按需建網的思路建設幹線傳輸網路。可重構光分插復用器(ROADM),可以實現遠程自動配置,任意波長可在任意節點上、下。設備在線升級、容量擴展,不中斷業務。ROADM同時實現通道的自動功率調諧和監視。採用ROADM系統無需重新設計網路就可以快速提供新業務,減輕網路規劃負擔,減少了運營和維護的成本。光晶元級的平面陣列波導光珊型密集波分復用器和光晶元級多通道可調光衰減器是2款主要光晶元,目前國內尚沒有自己生產的該類光晶元,幾乎全部靠進口。
3、環形城域網方面的應用。環形網一般採用雙環結構,各節點串接於光纖環中,節點間信號的傳送是點對點接力式的,因此網徑和容量都可做得很大,網的周長可超過200km,串接節點數達上千個,比大多數匯流排網大一個數量級,且光路損耗也小。雙環網可以單環運行,亦可雙環運行。單環運行時,一個環正常運行,另一個環處於熱備狀態提高系統的可靠性,此時網的容量取決於一個環,節點中也只要一套設備。雙環運行時,網的容量加倍,需二套設備同時運行。ROADM被認為是新一代城域波分網路的標誌,而動態靈活的光層,也被認為是城域網的發展方向。
4、電氣控制高頻信號傳輸方面的應用。我國正處於高速發展的過程中,工業生產中自動化程度越來越高,資源和原材料都十分緊缺或價格暴漲都嚴重製約了的發展,每年除了用於電話線、網線、有線電視線等以外,大量的控制設備中都要使用以金屬材料為核心的數據線、控制線、信號線等。因此銅等金屬資源的消耗是巨大的,這些從技術上講完全可以用光纖和光晶元來替代。簡單說,一根光纖兩端用光晶元和光電轉換的形式來實現。大量儀器設備中用於控制的傳輸線和各種類型的信號線,使得一些電氣控制櫃,自動化控制台等一經打開,都是成捆的各種傳輸線、信號線,甚至都要佔據控制櫃的一小半重量。如果都採用廉價的光纖來傳輸,那麼我們研製的配套光晶元又將是海量的應用。這個方面很符合國家的“銅退光進”的戰略和產業政策。特別是一些自動化控制領域中的高頻信號,迄今往往使用的同軸電纜,原因很簡單,只要是電線都有電磁場,都會互相干擾,必須要在電線外包上屏蔽層等技術手段,但是依然不能解決損耗大的問題。一根光纖由於帶寬極大不僅可以替代無數根電的信號線,即使放在一起的2根光纖之間也不存在干擾的問題,同時也沒有大損耗的問題。並且每年為國家節約的資源將是萬噸級來計算的。中國早已是光纖生產的大國,產量也早已是世界第一了。一些特種光纖的製造也有了長足的進步,已經可以做到300公里的距離接近零損耗。為“銅退光進”的戰略創造了良好的條件,為構建全光網也奠定了技術基礎。
產業發展前景展望
綜合以上的五個方面的應用,巨大的需求量顯示了中國自主知識產權的研發、設計、製造的極端重要性。在長距離的城際網、環城網的應用也同樣完全可以做到替代進口,而且數量巨大。加上工業自動化控制和高端軍事領域的應用。海量的需求讓我們有理由相信,我們這個產業會發展成一個巨型的產業,並和一些光電子的其他重要產業一起使國家綜合科技實力迅速提高。大家設想一下,假如沒有我國自己研製的擁有自主知識產權的光晶元,我國的通信的主幹道上用的光晶元級的光器件大量從國外採購還關係到潛在的安全問題。國家一旦開始普及光纖到戶,大量的定單如果都被外國一些廠商拿走。都象當年電腦、手機進入中國市場一樣,“外國芯”佔據了全中國幾乎所有的電腦和手機,也同時佔領了最高附加值的產品。那些產業巨頭之所以可以很快成為了“巨無霸”,其實是包括中國在內全世界的用戶提供了他們巨額的利潤造就的。後來發展起來的一些企業將更難與其競爭。國家當前十分需要迅速走出那種越來越難以維持的粗放型經濟模式。畢竟原材料、人工、能源總體是在不斷上漲的,作為低技術含量的“世界工廠”的成本也在不斷上漲。如果發達國家經濟不景氣,出口受阻,靠低利潤、低技術的加工業經濟,並以出口為導向來拉動、並維持長期高增長是不可能的。眾所周知,高技術產品出口中,我國很大部分僅賺取了加工費,高附加值的核心部件的利潤往往是發達國家在中國的大公司掌握或直接從國外進口的。所以說一旦佔領技術的制高點,就得到了最大的利益。我們國家為什麼就沒有佔領這樣的制高點,沒有掌握這樣的核心技術的企業?什麼時候能有我們中國的“英特爾”“微軟”式的企業,使得我國在一些重要的產業領域有我們的優勢,至少有一席之地並以高技術引領一個產業的?如能建成自己的生產線,那麼這樣一來包括最核心的研發、設計在內的每個技術及加工環節全部在國內獨立完成的話,我們不僅擁有技術優勢,價格優勢也將十分明顯,還具有開拓海外市場的巨大潛力,使“中國芯”走出國門成為可能。那麼該領域千億美元級的世界大市場中,將有我們中國企業自主研發製造分享到的一個大份額。另一方面,有了自己的生產線,通過進一步的技術攻關,生產和推向市場的過程中,不斷提升我國光晶元設計能力、製造工藝,可以帶動相關產業鏈的發展。由於這樣的產業需要巨大的投入和產業政策的引導,如果沒有國家的特殊支持和專項扶持,沒有儘早儘快地建立起中國自己的光晶元加工生產線,那麼以上說的都難以實現。

工作原理


隨著微控制器的小型化和廉價化,許多外部元件正在被直接集成到微控制器之中。8位微控制器具有多種封裝尺寸、RAM和ROM容量、串列通信匯流排以及模擬輸入和輸出方式,從而使得設計者能夠選擇一款與其設計要求和成本約束條件相匹配的微控制器。如今,有些微控制器集成了微控制器和嵌入式設計中常見的所有相關的、模擬和數字外圍電路,這種混合信號集成減少了使用的元件數量,從而極大地改善了系統質量和可靠性,並大幅降低了材料成本。
正確的微控制器與無線通信的融合技術最終將使得設計者能夠明顯地縮短開發時間、元件數量和系統成本,並改善工作距離、功耗和延遲等指標。走“無線”路線可使人們節省巨額安裝成本,例如如果在一座現有的建築物內放置CO2探測器,採用無線解決方案能夠在數天之內完成全部安裝,而無需破牆或進行昂貴的布線。
不過,在選擇正確的解決方案時必須謹慎而巧妙。以無線技術為例,首先是決定即將構建的系統的種類,是高端消費電子產品(如照明控制系統)還是低端商品(如無線滑鼠),這將為決策(比如採用單向無線協議還是雙向無線協議)提供幫助。其次,無線協議應儘可能地簡單,以造就一種簡易型學習曲線和具有適當代碼空間的實現方案。
選擇微控制器
下一步的工作是選擇微控制器。首先要做的就是選擇一個集成了無線通信電路的微控制器。此外,還有多個因素需要加以考慮。
(1)微控制器可擴縮性
(2)工具箱集成
(3)縮減設計時間
硬體
除了單獨的微控制器和無線電通信晶元之外,分立型多晶元解決方案通常還需要增設外部元件。這使得設計的尺寸和成本有所增加。通過採用集成解決方案,設計方案可以實現極小的尺寸、更低的功耗和成本以及更短的開發時間。一個具有集成2.4GHz無線電通信電路的8位微控制器的方框圖。我們注意到,由於兩塊晶元實現了集成,因此微控制器和無線電通信電路之間的介面是全內置型的,從而減少了所需的外接引腳數量,或者可以把外接引腳解放出來而使之成為通用I/O引腳(而不是供無線電通信介面專用)。
固件
集成解決方案能夠利用微控制器與無線電通信電路之間的緊密耦合來實現一種用於無線接入的易用型固件程序庫。有些解決方案甚至提供了一個完整的協議棧,用於在設備之間實現完善的雙向鏈接。通過提供一個專為特定的無線電通信電路和微控制器而定製的完整協議棧,這些解決方案使得可以輕而易舉地在兩個或更多的設備之間建立連接。採用一個簡單的API來與無線電通信電路相配合。在建立了連接之後,協議將把信息包發送至目標設備,並在檢測到錯誤的情況下重新發送信息包。如果失去了與目標設備的連接,協議將重新建立該連接,或者找到另一條通往目標設備的路線。圖2給出了一個在無需增加設計工作量的情況下處理無線連接的建立、提供可靠的信息包傳送和抗干擾性能的協議狀態機實例。這使得設計者能夠把無線鏈接作為一個有線串列匯流排(比如SPI、UART或I2C)來處理。
系統
隨著無線電通信電路與微控制器的集成,製作可放置於住宅的每間屋子裡的小型溫度感測器、讓每個感測器定期將其所在位置的溫度報告給主溫度調節裝置(以實現更加精確的居室供暖和空氣調節控制)如今已是一件微不足道的小事情。

製作方法


材料

除去硅之外,製造晶元還需要一種重要的材料就是金屬。除了這兩樣主要的材料之外,在晶元的設計過程中還需要一些種類的化學原料,它們起著不同的作用,這裡不再贅述。

準備階段

在必備原材料的採集工作完畢之後,這些原材料中的一部分需要進行一些預處理工作。而作為最主要的原料,硅的處理工作至關重要。首先,硅原料要進行化學提純,這一步驟使其達到可供半導體工業使用的原料級別。而為了使這些硅原料能夠滿足集成電路製造的加工需要,還必須將其整形,這一步是通過溶化硅原料,然後將液態硅注入大型高溫石英容器而完成的。
而後,將原料進行高溫溶化。中學化學課上我們學到過,許多固體內部原子是晶體結構,硅也是如此。為了達到高性能處理器的要求,整塊硅原料必須高度純凈,及單晶硅。然後從高溫容器中採用旋轉拉伸的方式將硅原料取出,此時一個圓柱體的硅錠就產生了。從所使用的工藝來看,硅錠圓形橫截面的直徑為200毫米。不過intel和其它一些公司已經開始使用300毫米直徑的硅錠了。在保留硅錠的各種特性不變的情況下增加橫截面的面積是具有相當的難度的,不過只要企業肯投入大批資金來研究,還是可以實現的。intel為研製和生產300毫米硅錠而建立的工廠耗費了大約35億美元,新技術的成功使得intel可以製造複雜程度更高,功能更強大的集成電路晶元。而200毫米硅錠的工廠也耗費了15億美元。下面就從硅錠的切片開始介紹晶元的製造過程。

單晶硅錠

在製成硅錠並確保其是一個絕對的圓柱體之後,下一個步驟就是將這個圓柱體硅錠切片,切片越薄,用料越省,自然可以生產的處理器晶元就更多。切片還要鏡面精加工的處理來確保表面絕對光滑,之後檢查是否有扭曲或其它問題。這一步的質量檢驗尤為重要,它直接決定了成品晶元的質量。
新的切片中要摻入一些物質而使之成為真正的半導體材料,而後在其上刻劃代表著各種邏輯功能的晶體管電路。摻入的物質原子進入硅原子之間的空隙,彼此之間發生原子力的作用,從而使得硅原料具有半導體的特性。今天的半導體製造多選擇CMOS工藝(互補型金屬氧化物半導體)。其中互補一詞表示半導體中N型MOS管和P型MOS管之間的交互作用。而N和P在電子工藝中分別代表負極和正極。多數情況下,切片被摻入化學物質而形成P型襯底,在其上刻劃的邏輯電路要遵循nMOS電路的特性來設計,這種類型的晶體管空間利用率更高也更加節能。同時在多數情況下,必須盡量限制pMOS型晶體管的出現,因為在製造過程的後期,需要將N型材料植入P型襯底當中,而這一過程會導致pMOS管的形成。
在摻入化學物質的工作完成之後,標準的切片就完成了。然後將每一個切片放入高溫爐中加熱,通過控制加溫時間而使得切片表面生成一層二氧化硅膜。通過密切監測溫度,空氣成分和加溫時間,該二氧化硅層的厚度是可以控制的。在intel的90納米製造工藝中,門氧化物的寬度小到了驚人的5個原子厚度。這一層門電路也是晶體管門電路的一部分,晶體管門電路的作用是控制其間電子的流動,通過對門電壓的控制,電子的流動被嚴格控制,而不論輸入輸出埠電壓的大小。準備工作的最後一道工序是在二氧化硅層上覆蓋一個感光層。這一層物質用於同一層中的其它控制應用。這層物質在乾燥時具有很好的感光效果,而且在光刻蝕過程結束之後,能夠通過化學方法將其溶解併除去。

光刻蝕

這是的晶元製造過程當中工藝非常複雜的一個步驟,為什麼這麼說呢?光刻蝕過程就是使用一定波長的光在感光層中刻出相應的刻痕,由此改變該處材料的化學特性。這項技術對於所用光的波長要求極為嚴格,需要使用短波長的紫外線和大麴率的透鏡。刻蝕過程還會受到晶圓上的污點的影響。每一步刻蝕都是一個複雜而精細的過程。設計每一步過程的所需要的數據量都可以用10GB的單位來計量,而且製造每塊處理器所需要的刻蝕步驟都超過20步(每一步進行一層刻蝕)。而且每一層刻蝕的圖紙如果放大許多倍的話,可以和整個紐約市外加郊區範圍的地圖相比,甚至還要複雜,試想一下,把整個紐約地圖縮小到實際面積大小隻有100個平方毫米的晶元上,那麼這個晶元的結構有多麼複雜,可想而知了吧。
當這些刻蝕工作全部完成之後,晶圓被翻轉過來。短波長光線透過石英模板上鏤空的刻痕照射到晶圓的感光層上,然後撤掉光線和模板。通過化學方法除去暴露在外邊的感光層物質,而二氧化硅馬上在陋空位置的下方生成。

摻雜

在殘留的感光層物質被去除之後,剩下的就是充滿的溝壑的二氧化硅層以及暴露出來的在該層下方的硅層。這一步之後,另一個二氧化硅層製作完成。然後,加入另一個帶有感光層的多晶硅層。多晶硅是門電路的另一種類型。由於此處使用到了金屬原料(因此稱作金屬氧化物半導體),多晶硅允許在晶體管隊列埠電壓起作用之前建立門電路。感光層同時還要被短波長光線透過掩模刻蝕。再經過一部刻蝕,所需的全部門電路就已經基本成型了。然後,要對暴露在外的硅層通過化學方式進行離子轟擊,此處的目的是生成N溝道或P溝道。這個摻雜過程創建了全部的晶體管及彼此間的電路連接,沒個晶體管都有輸入端和輸出端,兩端之間被稱作埠。

重複過程

從這一步起,你將持續添加層級,加入一個二氧化硅層,然後光刻一次。重複這些步驟,然後就出現了一個多層立體架構,這就是你使用的處理器的萌芽狀態了。在每層之間採用金屬塗膜的技術進行層間的導電連接。今天的P4處理器採用了7層金屬連接,而Athlon64使用了9層,所使用的層數取決於最初的版圖設計,並不直接代表著最終產品的性能差異。
接下來的幾個星期就需要對晶圓進行一關接一關的測試,包括檢測晶圓的電學特性,看是否有邏輯錯誤,如果有,是在哪一層出現的等等。而後,晶圓上每一個出現問題的晶元單元將被單獨測試來確定該晶元有否特殊加工需要。
而後,整片的晶圓被切割成一個個獨立的處理器晶元單元。在最初測試中,那些檢測不合格的單元將被遺棄。這些被切割下來的晶元單元將被採用某種方式進行封裝,這樣它就可以順利的插入某種介面規格的主板了。大多數intel和AMD的處理器都會被覆蓋一個散熱層。在處理器成品完成之後,還要進行全方位的晶元功能檢測。這一部會產生不同等級的產品,一些晶元的運行頻率相對較高,於是打上高頻率產品的名稱和編號,而那些運行頻率相對較低的晶元則加以改造,打上其它的低頻率型號。這就是不同市場定位的處理器。而還有一些處理器可能在晶元功能上有一些不足之處。比如它在緩存功能上有缺陷(這種缺陷足以導致絕大多數的晶元癱瘓),那麼它們就會被屏蔽掉一些緩存容量,降低了性能,當然也就降低了產品的售價,這就是Celeron和Sempron的由來。
在晶元的包裝過程完成之後,許多產品還要再進行一次測試來確保先前的製作過程無一疏漏,且產品完全遵照規格所述,沒有偏差。

發展過程


可測性設計

隨著集成晶元功能的增強和集成規模的不斷擴大,晶元的測試變得越來越困難,測試費用往往比設計費用還要高,測試成本已成為產品開發成本的重要組成部分,測試時間的長短也直接影響到產品上市時間進而影響經濟效益。為了使測試成本保持在合理的限度內,最有效的方法是在晶元設計時採用可測性設計(DFT)技術。可測性設計是對電路的結構進行調整,提高電路的可測性即可控制性和可觀察性。集成晶元測試之所以困難,有兩個重要原因:(1)晶元集成度高,晶元外引腳與內部晶體管比數低,使晶元的可控性和可觀察性降低;(2) 晶元內部狀態複雜,對狀態的設置也非常困難。
解決晶元測試的最根本途徑是改變設計方法:在集成電路設計的初級階段就將可測性作為設計目標之一,而不是單純考慮電路功能、性能和晶元面積。實際上可測性設計就是通過增加對電路中的信號的可控性和可觀性以便及時、經濟的產生一個成功的測試程序,完成對晶元的測試工作。
可測性設計的質量可以用5個標準進行衡量:故障覆蓋率、面積消耗、性能影響、測試時間、測試費用。如何進行可行的可測性設計,使故障覆蓋率高,面積佔用少,盡量少的性能影響,測試費用低,測試時間短,已成為解決集成電路測試問題的關鍵。
1 掃描設計
掃描設計是一種應用最為廣泛的可測性設計技術,測試時能夠獲得很高的故障覆蓋率。設計時將電路中的時序元件轉化成為可控制和可觀測的單元,這些時序元件連接成一個或多個移位寄存器(又稱掃描鏈)。這些掃描鏈可以通過控制掃描輸入來置成特定狀態,並且掃描鏈的內容可以由輸出端移出。
掃描設計就是利用經過變化的掃描觸發器連接成一個或多個移位寄存器,即掃描鏈。這樣的設計將電路主要分成兩部分:掃描鏈與組合部分(全掃描設計)或部分時序電路(部分掃描設計),很明顯的降低了測試向量生成的複雜度。
掃描測試過程
在移位寄存器狀態下,第一個觸發器可以直接由初級輸入端置為特定值,最後一個觸發器可以在初級輸出直接觀察到。因此,就可以通過移位寄存器的移位功能將電路置為任意需要的初始狀態,並且移位寄存器的任一內部狀態可以移出到初級輸出端,進行觀察,即達到了可控制和可觀察的目的。此時,每一個觸發器的輸入都可以看作是一個初級輸入,輸出可以看作一個初級輸出,電路的測試生成問題就轉化成一個組合電路的測試生成問題。電路的測試過程可以分成以下的步驟:
(1)將時序單元控制為移位寄存器狀態,即scan—en=l,並將O,1序列移入移位寄存器,然後移出,測試所有時序單元的故障;
(2)將移位寄存器置為特定的初始狀態;
(3)將所有時序單元控制為正常工作狀態,即scan一en=0,並將激勵碼載入到初級輸入端;
(4)觀察輸出端數據;
(5)向電路加時鐘脈衝信號,將新的結果數據捕獲到掃描單元中;
(6)將電路控制為移位寄存器狀態,即scan—en=l,在將移位寄存器置為下一個測試碼初態的同時,將其內容移出,轉步驟。
2邊界掃描技術
邊界掃描技術是各集成電路製造商支持和遵守的一種可測性設計標準,它在測試時不需要其它的測試設備,不僅可以測試晶元或PCB板的邏輯功能,還可以測試IC之間或PCB板之間的連接是否存在故障。邊界掃描的核心技術是掃描設計技術。
邊界掃描的基本思想是在靠近待測器件的每一個輸入/輸出管腳處增加一個邊緣掃描單元,並把這些單元連接成掃描鏈,運用掃描測試原理觀察並控制待測器件邊界的信號。在圖3中,與輸入節點X1,X2…、Xm和輸出節點Y1,Y2…、Ym連接的SE即為邊界掃描單元,它們構成一條掃描鏈(稱為邊界掃描寄存器一BSR),其輸入為TDI(Test Data Input),輸出TD0(Test Data 0ut)。在測試時由BSR串列地存儲和讀出測試數據。此外,還需要兩個測試控制信號:測試方式選擇(Test Mode Select—TMS)和測試時鐘(Test C1ock—TCK)來控制測試方式的選擇。
邊界掃描技術降低了對測試系統的要求,可實現多層次、全面的測試,但實現邊界掃描技術需要超出7%的附加晶元面積,同時增加了連線數目,且工作速度有所下降。
3 內建自測試設計
傳統的離線測試對於日趨複雜的系統和集成度日趨提高的設計越來越不適應:一方面離線測試需要一定的專用設備;另一方面測試向量產生的時間比較長。為了減少測試生成的代價和降低測試施加的成本,出現了內建自測試技術(BIST)。BIST技術通過將外部測試功能轉移到晶元或安裝晶元的封裝上,使得人們不需要複雜、昂貴的測試設備;同時由於BIST與待測電路集成在一塊晶元上,使測試可按電路的正常工作速度、在多個層次上進行,提高了測試質量和測試速度。
內建自測試電路設計是建立在偽隨機數的產生、特徵分析和掃描通路的基礎上的。採用偽隨機數發生器生成偽隨機測試輸入序列;應用特徵分析器記錄被測試電路輸出序列(響應)的特徵值:利用掃描通路設計,串列輸出特徵值。當測試所得的特徵值與被測電路的正確特徵值相同時,被測電路即為無故障,反之,則有故障。被測電路的正確特徵值可預先通過完好電路的實測得到,也可以通過電路的功能模擬得到。
由於偽隨機數發生器、特徵分析器和掃描通路設計所涉及的硬體比較簡單,適當的設計可以共享邏輯電路,使得為測試而附加的電路比較少,容易把測試電路嵌入晶元內部,從而實現內建自測試電路設計。

離散與集成

在產品設計中,離散元件具有很大靈活性。在進行需要超出標準解決方案要求的特定傳輸功率級或接受機靈敏度的電路設計時,這些設備(如LNA、大功率放大器等)是很有用的。然而,由離散有源元件決定的設計通常需要大量附加的離散有源元件、無源元件、濾波器及開關,以便補償發射線的阻抗不匹配、信號級轉換、隔離、及電壓增益分配。當鎵化砷設備與其它技術介面時(如雙極硅或鍺化硅),這點很重要。不過,離散元件給生產過程增加了附加成本。比如說,當拾放設備無法組裝非標準尺寸的部件或當PCB需要返工時。值得注意的是在WLAN無線設備生產過程的大部分成本都來自於離線裝配的數量、測試和返工工藝,返工一個無線設備的成本相當於原料費用的20%。另一方面,集成RF晶元組生產成本一般較低並能製造較高性能的無線設備。把發射和接收功能如LNA、混頻器、LO、集成器、PLL和AGC集成到一個單模塊電路中有如下優點:互聯阻抗易匹配
低雜訊設計,減少內部調製產品
優化了不同階段間的增益平衡
更少的外部無源元件

INTEL和AMD

ATI、Nvidia在顯卡市場上的競爭延續多年,不過實際上英特爾才是顯卡市場上的絕對老大。對於傳統辦公用戶以及家庭用戶而言,採用非獨立設計的集成顯卡的PC系統超過60%,而英特爾在其中佔據絕大多數。集成圖形晶元在性能上還無法達到獨立顯卡那樣的高度,但是它們售價更低,同時也可以滿足大多數主流應用的需要。我們今天要看到的就是新一代集成晶元組在遊戲性能上的對比。
945G
i945G晶元組實際上就是在i945P晶元組上加入了圖形晶元,支持英特爾奔騰4、奔騰D和賽揚處理器。儘管英特爾已經有了新產品,但是945G晶元組仍然有著相當大的銷量。不少主板廠商已經推出了自己採用945G晶元組、支持LGA775介面英特爾酷睿2雙核處理器的主板產品,大大的延長了945G的產品壽命。
實際上945G晶元組的技術規格並不是太過時,儘管無法支持DDR2-800內存,但同樣提供了4個SATA介面和8個USB 2.0介面,對於DDR2-667內存也有很好的支持,並不比其它集成晶元組差多少。
不過就晶元組的集成圖形晶元GMA950而言,這款晶元的規格確實有些落後了。GMA950是英特爾第二代硬體支持T&L技術的產品,最高工作頻率400Mhz,可以提供1600MPixel/s的象素填充率,擁有4條象素渲染管線,最高可以支持224MB的共享顯存。英特爾在這款產品的開發中對於視頻解碼播放能力投入了不小精力,在其它地方就有些欠缺了。
GMA950的核心對於Shader Model 3.0提供有限的支持,對於DirectX 9也同樣如此,不過它可以在微軟的Windows Vista中支持Aero特效界面。只是GMA950的T&L引擎並不是由硬體實現的,而是由顯卡驅動轉交給CPU來進行處理。
在輸出介面上,GMA950的集成RAMDAC工作頻率400MHz,可以支持最高2048×1536×75Hz解析度。GMA950也可以支持DVI輸出,不過這裡需要一塊額外的子卡(PCIe ×16介面)。
G965
G965是英特爾推出的最新集成晶元組,也是和英特爾酷睿2雙核處理器同期發布的。這款晶元組可以支持DDR2-800內存(非正式),ICH8南橋同時提供了10個USB 2.0介面和6個SATA介面,不過同時也省卻了PATA介面。所以採用ICH8南橋的主板只能通過額外的控制器才能提供傳統的IDE介面支持。
在圖形晶元上,G965可以說是英特爾對自己的一次突破。集成的圖形晶元組代號GMA X3000,晶元採用了很多全新設計和架構,擁有自己的硬體象素、頂點處理單元,支持SM 3.0技術,完全符合微軟Windows Vista Aero Premium的要求。同時英特爾還在GMA X3000上加入了更多的視頻解碼能力,首次支持WMV9的硬體加速。
GMA X3000擁有8個處理單元,採用統一架構設計的處理單元可以根據需要進行象素/頂點處理工作,也可以用作視頻播放時的加速。這樣的設計實際上和NVIDIA的G80一樣,硬體設計上是符合DirectX 10的要求,英特爾稱只要加上合適的驅動就可以提供DX 10的完善支持。
GMA X3000核心工作頻率667MHz,象素填充率為1333Mpixel/s。這樣的數據還比不上GMA 950,使得GMA X3000核心會在一些應用中比不上後者。核心最高可以支持384MB的共享顯存,集成RAMDAC工作頻率同樣400MHz,在顯示輸出特性上和GMA 950一樣。
這裡需要提醒一下,G965晶元組是唯一集成GMA X3000圖形晶元的產品。965系列的其它產品使用的圖形核心為GMA 3000,不具有硬體著色處理單元和視頻加速能力,更接近GMA 950的規格。
Geforce6150
上面介紹的兩款晶元組自然是針對英特爾平台的,下面要說的當然就是AMD平台上的選擇了。我們首先要看到的是NVIDIA的GeForce 6150。
這款產品實際上是一年多以前發布的,不過在市場上的反響不錯,一直延續到今天。晶元組支持Socket AM2介面的AMD處理器,配合nForce 430南橋晶元可以提供8個USB 2.0介面、4個SATA介面、千兆網卡和HD Audio音頻系統。
GeForce 6150集成的圖形晶元只有2個象素管線,不過硬體對於SM 3.0提供完備的支持。核心工作頻率475Mhz,象素填充率950Mpixel/s,最高支持256MB顯存,可以全效支持Vista的Aero界面。
NVIDIA同樣為GeForce 6150提供了視頻硬體加速功能,支持高畫質縮放和高清視頻解碼。RAMDAC工作頻率300Mhz,最高支持1920×1440×75Hz解析度,提供DVI輸出功能,一般不需要子卡。

使用維護


內電容

現代集成電路工藝的發展使得上百萬的晶體管被集成到一塊小矽片上,生產工藝達到了0.18 μm線寬。雖然矽片尺寸不斷收縮,但元件數量增加了,使得產品的批量生產、降低製造成本成為可能。同時,線 寬越小,兩個邏輯門元件之間的傳輸延時就越短。但邊沿速率加快,輻射能力也就隨之增強,狀態切換效 應在集成晶元內部之間感應的作用下,加大了能量損耗。
矽片需要從電源分配網路中獲得電流,只有當電流達到一定數值時才能驅動傳輸線。邊沿速率越快,就 需要提供達到更快速率的直流電流。切換開關在電源分配網路中的來迴轉換,會在電源板和接地板之間引 起差模電流的不平衡。隨著共模、差模電流的失調,在EMI測試中,會發現共模電流在電纜組裝連接處或 PCB元件中產生輻射。
元器件供應商可以採用不同的技術把去耦電容嵌入到集成晶元當中。一種方法是把硅晶片放到集成晶元 之前先嵌入去耦電容。
雙層金屬膜中間再加一層介質層,就形成了一個質量可靠的平板電容器。由於外加電壓很低,所以介質 層可以做得很薄。對於一個很小的區域,它產生的電容完全可以滿足需要,並且有效的引線長度趨於零。另外,平行板結構獲得的諧振頻率非常高。這種技術的優勢突出在成本很低,在不需要分立去耦電容的情 況下可以提高性能。

強壓技術

另外一種方法是在集成晶元中來用強壓技術形成去耦。高密度元件常常直接把表面安裝(SMT)電容加 入到集成晶元之中。分立電容常在這個時候用於多晶元模塊中。根據硅盤入侵峰值電流衝激情況,以設各 所需的充電電流為基礎來選擇合適的電容。此外,在元件產生自激時能對差模電流產生抑制作用。雖然內嵌有電容,在模塊外部同樣需要加上分立電容。
正如前面所述,元件在開關周期內,去耦電容提供了瞬時的充、放電。去耦電容必須向器件提供足夠快 的充、放電過程以滿足開關操作的需要。電容的自激頻率取決於很多因素,不僅包括電容大小,還包括ESL、ESR等。
對於高速同步設計而言,CMOS功率損耗表現為容性放電效應。例如,—個在3.8V、200MHz頻率下的設備損耗4800 mW的功率時,就會大約有4000 pF的容性損耗。這可以在每個時鐘觸發下觀測得到。
CMOS邏輯門通過自身的輸入電容,對設備的耦合和輸入晶體的串聯電容來提供分有電容。這些內部電容並不等於運行所需的電容值。硅盤不允許使用另外的硅材料製作大眭容底板,這是因為製造工藝決定了亞微米設計會消耗布線空間,同時需要支持氧化物層獻裝配。